Huawei 2015 Annual Report Download - page 93

Download and view the complete annual report

Please find page 93 of the 2015 Huawei annual report below. You can navigate through the pages in the report by either clicking on the pages listed below, or by using the keyword search tool below to find specific information within the annual report.

Page out of 145

  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
  • 9
  • 10
  • 11
  • 12
  • 13
  • 14
  • 15
  • 16
  • 17
  • 18
  • 19
  • 20
  • 21
  • 22
  • 23
  • 24
  • 25
  • 26
  • 27
  • 28
  • 29
  • 30
  • 31
  • 32
  • 33
  • 34
  • 35
  • 36
  • 37
  • 38
  • 39
  • 40
  • 41
  • 42
  • 43
  • 44
  • 45
  • 46
  • 47
  • 48
  • 49
  • 50
  • 51
  • 52
  • 53
  • 54
  • 55
  • 56
  • 57
  • 58
  • 59
  • 60
  • 61
  • 62
  • 63
  • 64
  • 65
  • 66
  • 67
  • 68
  • 69
  • 70
  • 71
  • 72
  • 73
  • 74
  • 75
  • 76
  • 77
  • 78
  • 79
  • 80
  • 81
  • 82
  • 83
  • 84
  • 85
  • 86
  • 87
  • 88
  • 89
  • 90
  • 91
  • 92
  • 93
  • 94
  • 95
  • 96
  • 97
  • 98
  • 99
  • 100
  • 101
  • 102
  • 103
  • 104
  • 105
  • 106
  • 107
  • 108
  • 109
  • 110
  • 111
  • 112
  • 113
  • 114
  • 115
  • 116
  • 117
  • 118
  • 119
  • 120
  • 121
  • 122
  • 123
  • 124
  • 125
  • 126
  • 127
  • 128
  • 129
  • 130
  • 131
  • 132
  • 133
  • 134
  • 135
  • 136
  • 137
  • 138
  • 139
  • 140
  • 141
  • 142
  • 143
  • 144
  • 145

91
29 Capital commitments
(a) Acquisition and construction of property, plants and equipments
Capital commitments of the Group in respect of acquisition and construction of property, plants and equipments
outstanding at December 31, 2015 and 2014 not provided for in the consolidated financial statements were as
follows:
2015 2014
CNY million CNY million
Contracted for 6,756 3,496
Authorised but not contracted for 13,888 10,585
20,644 14,081
(b) Other capital commitments
Other contracted capital commitments outstanding at December 31, 2015 and 2014 not provided for in the
consolidated financial statements were as follows:
2015 2014
CNY million CNY million
Investment commitment 9
30 Contingencies
(i) In July 2011, InterDigital Corporation (IDC) filed
a complaint with the United States International
Trade Commission (the USITC or Commission) and
the United States District Court for the District of
Delaware against Huawei Technologies Co., Ltd.
(Huawei Tech) and Futurewei Technologies Inc.
(Futurewei), both wholly-owned subsidiaries of
the Company. The complaint alleged that sales of
imported 3G wireless devices by the said subsidiaries
within the United States had infringed IDC's 3G
wireless patents and requested for issuance of
exclusion order and cease and desist order in relation
to the accused 3G wireless devices concerned (the
first complaint).
In December 2011, Huawei Tech filed a complaint
against IDC in the PRC for violation of the fair,
reasonable, and non-discriminatory (FRAND)
policies and the PRC's Anti-Monopoly Law. In June
2012, Huawei Tech filed another complaint with
the European Commission (the EC) to request an
investigation into the licensing fees requested by
IDC, which it deemed exploitative, discriminatory,
and in violation of the FRAND policies as well as the
EC's antitrust law.
On January 2, 2013, IDC filed another two
complaints with the USITC and the United States
District Court for the District of Delaware against
Huawei Tech, Futurewei, and Huawei Device USA
Inc. (USA Device), another wholly-owned subsidiary
of the Company. The complaints further alleged that
the sales of certain 3G and 4G wireless devices sold
by the said subsidiaries within the United States had
infringed three of IDC's other patents.
On February 4, 2013, the Shenzhen Intermediate
People's Court ruled that IDC had violated the PRC's
Anti-Monopoly Law and ordered IDC to compensate
the Group for damages of CNY 20 million. The Court
also ruled that the royalty rates licenses to Huawei
Tech for IDC's Chinese essential standard patents in
wireless communication should not exceed 0.019%
of the actual sales prices of Huawei Tech's wireless
devices.